在这项工作中,我们提供了一个量子Hopfield关联内存(QHAM),并使用IBM量子体验展示其在仿真和硬件中的能力。 QHAM基于量子神经元设计,可以用于许多不同的机器学习应用,并且可以在真实量子硬件上实现,而不需要中间电路测量或重置操作。我们通过使用硬件噪声模型以及15 QUBIT IBMQ_16_MELBOURBORNE设备的模拟来分析神经元和全QHAM的准确性。量子神经元和QHAM被证明是有弹性的噪声,并且需要低Qubit开销和栅极复杂性。我们通过测试其有效的内存容量来基准QHAM,并在Quantum硬件的NISQ-ERA中展示其能力。该演示在NISQ-ERA量子硬件中实现的第一功能QHAM是在量子计算前沿的机器学习的重要步骤。
translated by 谷歌翻译
隔离量子系统的演变是线性的,因此量子算法是可逆的,包括那些利用量子电路作为生成机器学习模型的量子。但是,一些最成功的经典生成模型,例如基于神经网络的模型,涉及高度非线性,因此是非可逆的动力学。在本文中,我们通过引入一个模型来探讨这些动力学在量子生成建模中的效果,该模型通过神经网络结构将非线性激活添加到标准生产的机器框架上 - 量子神经元出生机器(QNBM)。为了实现这一目标,我们利用了先前引入的量子神经元子例程,这是一个重复启用的电路,具有中路测量和经典控制。引入QNBM后,我们通过训练具有4个输出神经元以及各种输入和隐藏层大小的3层QNBM来研究其性能如何取决于网络大小。然后,我们将非线性QNBM与线性量子电路诞生的机器(QCBM)进行比较。我们将相似的时间和内存资源分配给每个模型,因此唯一的主要区别是QNBM所需的QUBIT开销。通过基于梯度的训练,我们表明,尽管这两种模型都可以轻松地学习一个琐碎的均匀概率分布,但在更具挑战性的分布类别上,QNBM的错误率几乎比具有相似数量可调参数的QCBM要小3倍。因此,我们提供的证据表明,非线性是量子生成模型中的有用资源,我们将QNBM作为具有良好生成性能和量子优势潜力的新模型。
translated by 谷歌翻译
量子计算是使用量子力学执行计算的过程。该领域研究某些亚杀菌粒子的量子行为,以便随后在执行计算,以及大规模信息处理中使用。这些能力可以在计算时间和经典计算机上的成本方面提供量子计算机的优势。如今,由于计算复杂性或计算所需的时间,具有科学挑战,这是由于古典计算而无法执行,并且量子计算是可能的答案之一。然而,电流量子器件尚未实现必要的QUBITS,并且没有足够的容错才能实现这些目标。尽管如此,还有其他领域,如机器学习或化学,其中量子计算对电流量子器件有用。本手稿旨在展示2017年和2021年之间发布的论文的系统文献综述,以确定,分析和分类量子机器学习和其应用中使用的不同算法。因此,该研究确定了使用量子机器学习技术和算法的52篇文章。发现算法的主要类型是经典机器学习算法的量子实现,例如支持向量机或K最近邻模型,以及古典的深度学习算法,如量子神经网络。许多文章试图解决目前通过古典机器学习回答的问题,但使用量子设备和算法。即使结果很有希望,量子机器学习也远未实现其全部潜力。由于现有量子计算机缺乏足够的质量,速度和比例以允许量子计算来实现其全部潜力,因此需要提高量子硬件。
translated by 谷歌翻译
变异量子算法(VQA)在NISQ时代表现出巨大的潜力。在VQA的工作流程中,Ansatz的参数迭代更新以近似所需的量子状态。我们已经看到了各种努力,以较少的大门起草更好的安萨兹。在量子计算机中,栅极Ansatz最终将转换为控制信号,例如TransMons上的微波脉冲。并且对照脉冲需要精心校准,以最大程度地减少误差(例如过度旋转和旋转)。在VQA的情况下,此过程将引入冗余,但是VQAS的变异性能自然可以通过更新幅度和频率参数来处理过度旋转和重组的问题。因此,我们提出了PAN,这是一种用于VQA的天然脉冲ANSATZ GENTARATOR框架。我们生成具有可训练参数用于振幅和频率的天然脉冲ansatz。在我们提出的锅中,我们正在调整参数脉冲,这些脉冲在NISQ计算机上得到了内在支持。考虑到本机 - 脉冲ANSATZ不符合参数迁移规则,我们需要部署非级别优化器。为了限制发送到优化器的参数数量,我们采用了一种生成本机 - 脉冲ANSATZ的渐进式方式。实验是在模拟器和量子设备上进行的,以验证我们的方法。当在NISQ机器上采用时,PAN获得的延迟平均提高了86%。 PAN在H2和HEH+上的VQE任务分别能够达到99.336%和96.482%的精度,即使NISQ机器中有很大的噪声。
translated by 谷歌翻译
Powerful hardware services and software libraries are vital tools for quickly and affordably designing, testing, and executing quantum algorithms. A robust large-scale study of how the performance of these platforms scales with the number of qubits is key to providing quantum solutions to challenging industry problems. Such an evaluation is difficult owing to the availability and price of physical quantum processing units. This work benchmarks the runtime and accuracy for a representative sample of specialized high-performance simulated and physical quantum processing units. Results show the QMware cloud computing service can reduce the runtime for executing a quantum circuit by up to 78% compared to the next fastest option for algorithms with fewer than 27 qubits. The AWS SV1 simulator offers a runtime advantage for larger circuits, up to the maximum 34 qubits available with SV1. Beyond this limit, QMware provides the ability to execute circuits as large as 40 qubits. Physical quantum devices, such as Rigetti's Aspen-M2, can provide an exponential runtime advantage for circuits with more than 30. However, the high financial cost of physical quantum processing units presents a serious barrier to practical use. Moreover, of the four quantum devices tested, only IonQ's Harmony achieves high fidelity with more than four qubits. This study paves the way to understanding the optimal combination of available software and hardware for executing practical quantum algorithms.
translated by 谷歌翻译
For a large number of tasks, quantum computing demonstrates the potential for exponential acceleration over classical computing. In the NISQ era, variable-component subcircuits enable applications of quantum computing. To reduce the inherent noise and qubit size limitations of quantum computers, existing research has improved the accuracy and efficiency of Variational Quantum Algorithm (VQA). In this paper, we explore the various ansatz improvement methods for VQAs at the gate level and pulse level, and classify, evaluate and summarize them.
translated by 谷歌翻译
量子计算有可能彻底改变和改变我们的生活和理解世界的方式。该审查旨在提供对量子计算的可访问介绍,重点是统计和数据分析中的应用。我们从介绍了了解量子计算所需的基本概念以及量子和经典计算之间的差异。我们描述了用作量子算法的构建块的核心量子子程序。然后,我们审查了一系列预期的量子算法,以便在统计和机器学习中提供计算优势。我们突出了将量子计算应用于统计问题的挑战和机遇,并讨论潜在的未来研究方向。
translated by 谷歌翻译
FIG. 1. Schematic diagram of a Variational Quantum Algorithm (VQA). The inputs to a VQA are: a cost function C(θ), with θ a set of parameters that encodes the solution to the problem, an ansatz whose parameters are trained to minimize the cost, and (possibly) a set of training data {ρ k } used during the optimization. Here, the cost can often be expressed in the form in Eq. ( 3), for some set of functions {f k }. Also, the ansatz is shown as a parameterized quantum circuit (on the left), which is analogous to a neural network (also shown schematically on the right). At each iteration of the loop one uses a quantum computer to efficiently estimate the cost (or its gradients). This information is fed into a classical computer that leverages the power of optimizers to navigate the cost landscape C(θ) and solve the optimization problem in Eq. ( 1). Once a termination condition is met, the VQA outputs an estimate of the solution to the problem. The form of the output depends on the precise task at hand. The red box indicates some of the most common types of outputs.
translated by 谷歌翻译
预计人工神经网络的领域将强烈受益于量子计算机的最新发展。特别是Quantum Machine Learning,一类利用用于创建可训练神经网络的Qubits的量子算法,将提供更多的力量来解决模式识别,聚类和机器学习等问题。前馈神经网络的构建块由连接到输出神经元的一层神经元组成,该输出神经元根据任意激活函数被激活。相应的学习算法以Rosenblatt Perceptron的名义。具有特定激活功能的量子感知是已知的,但仍然缺乏在量子计算机上实现任意激活功能的一般方法。在这里,我们用量子算法填充这个间隙,该算法能够将任何分析激活功能近似于其功率系列的任何给定顺序。与以前的提案不同,提供不可逆转的测量和简化的激活功能,我们展示了如何将任何分析功能近似于任何所需的准确性,而无需测量编码信息的状态。由于这种结构的一般性,任何前锋神经网络都可以根据Hornik定理获取通用近似性质。我们的结果重新纳入栅极型量子计算机体系结构中的人工神经网络科学。
translated by 谷歌翻译
In recent times, Variational Quantum Circuits (VQC) have been widely adopted to different tasks in machine learning such as Combinatorial Optimization and Supervised Learning. With the growing interest, it is pertinent to study the boundaries of the classical simulation of VQCs to effectively benchmark the algorithms. Classically simulating VQCs can also provide the quantum algorithms with a better initialization reducing the amount of quantum resources needed to train the algorithm. This manuscript proposes an algorithm that compresses the quantum state within a circuit using a tensor ring representation which allows for the implementation of VQC based algorithms on a classical simulator at a fraction of the usual storage and computational complexity. Using the tensor ring approximation of the input quantum state, we propose a method that applies the parametrized unitary operations while retaining the low-rank structure of the tensor ring corresponding to the transformed quantum state, providing an exponential improvement of storage and computational time in the number of qubits and layers. This approximation is used to implement the tensor ring VQC for the task of supervised learning on Iris and MNIST datasets to demonstrate the comparable performance as that of the implementations from classical simulator using Matrix Product States.
translated by 谷歌翻译
Hybrid quantum-classical systems make it possible to utilize existing quantum computers to their fullest extent. Within this framework, parameterized quantum circuits can be regarded as machine learning models with remarkable expressive power. This Review presents the components of these models and discusses their application to a variety of data-driven tasks, such as supervised learning and generative modeling. With an increasing number of experimental demonstrations carried out on actual quantum hardware and with software being actively developed, this rapidly growing field is poised to have a broad spectrum of real-world applications.
translated by 谷歌翻译
对机器学习模型训练的栅极基量子电路的发展越来越兴趣。然而,关于电路设计的参数,噪声和其他测量误差对量子机器学习模型性能的影响很少。在本文中,我们探讨了使用多个标准机器学习数据集和IBM的Qiskit模拟器的关键电路设计参数(Qubits,Deposit等)的实际意义。总的来,我们评估超过6500个独特电路,以$ n \约120700美元。我们发现,一般浅(低深度)宽(更多Qubits)电路拓扑倾向于在没有噪声的情况下更优于更深的内容。我们还探讨了不同噪声概念的影响和影响,并讨论了对分类机学习任务的噪声更多/较低的电路拓扑。基于该研究结果,我们定义了使用基于门的NISQ量子计算机来实现近期承诺的电路拓扑指南。
translated by 谷歌翻译
我们展示了一个新的开源软件,用于快速评估量子电路和绝热进化,这充分利用了硬件加速器。越来越多的Quantum Computing兴趣和Quantum硬件设备的最新发展的兴趣激励了新的高级计算工具的开发,其专注于性能和使用简单性。在这项工作中,我们介绍了一种新的Quantum仿真框架,使开发人员能够将硬件或平台实现的所有复杂方面委托给库,以便他们专注于手头的问题和量子算法。该软件采用Scratch设计,使用仿真性能,代码简单和用户友好的界面作为目标目标。它利用了硬件加速,例如多线CPU,单个GPU和多GPU设备。
translated by 谷歌翻译
本文旨在研究基于电路的混合量子卷积神经网络(QCNNS)如何在遥感的上下文中成功地在图像分类器中成功使用。通过在标准神经网络内引入量子层来丰富CNN的经典架构。本工作中提出的新型QCNN应用于土地使用和陆地覆盖(LULC)分类,选择为地球观测(EO)用例,并在欧元区数据集上测试用作参考基准。通过证明QCNN性能高于经典对应物,多标量分类的结果证明了所提出的方法的有效性。此外,各种量子电路的研究表明,利用量子纠缠的诸如最佳分类评分。本研究强调了将量子计算应用于EO案例研究的潜在能力,并为期货调查提供了理论和实验背景。
translated by 谷歌翻译
表面代码误差校正提供了高度有希望的途径,以实现可扩展的容错量计算。当操作作为稳定器代码时,表面代码计算包括综太解码步骤,其中测量的稳定器运营商用于确定物理QUBITS中错误的适当校正。解码算法经历了大量发展,最近的工作包括机器学习(ML)技术。尽管初始结果具有很有希望的初始结果,但基于ML的综合征解码器仍然限于具有低延迟的小规模示范,并且无法处理具有边界条件的表面代码和格子手术和编织所需的各种形状。在这里,我们报告了一种基于人工神经网络(ANN)的可伸缩和快速综合征解码器的开发,其能够用患有各种噪声模型的数据Qubits解码任意形状和大小的表面代码,包括多大噪声模型,偏振噪声和空间不均匀噪音。基于严格的5000万次随机量子误差实例,我们的ANN解码器显示用于超过1000(超过400万物理QUBITS)的代码距离,这是迄今为止最大的基于ML的解码器演示。已建立的ANN解码器原则上展示了独立于代码距离的执行时间,这意味着它在专用硬件上的实现可能会提供O($ \ mu $ sec)的表面代码解码时间,与实验可实现的Qubit相干时间相称。随着在未来十年内的量子处理器的预期扩展,他们的增强与我们在我们的工作中开发的快速和可扩展的综合征解码器,预计将对实验性宽容量子信息处理的实验实施起决定性的作用。
translated by 谷歌翻译
生成建模是近期量子设备的一项有前途的任务,可以将量子测量的随机性作为随机来源。所谓的出生机器是纯粹的量子模型,并承诺以量子的方式生成概率分布,而对经典计算机无法访问。本文介绍了出生的机器在蒙特卡洛模拟中的应用,并将其覆盖范围扩展到多元和有条件的分布。模型在(嘈杂)模拟器和IBM量子超导量子硬件上运行。更具体地说,出生的机器用于生成由Muons和探测器材料之间的散射过程和高能量物理颜料实验中的探测器材料产生的事件。 MFC是出现在标准模型理论框架中的玻色子,它们是暗物质的候选者。经验证据表明,诞生的机器可以从蒙特卡洛模拟中重现数据集的边际分布和相关性。
translated by 谷歌翻译
量子噪声是嘈杂中间级量子(NISQ)计算机中的关键挑战。以前的缓解噪声的工作主要集中在门级或脉冲级噪声自适应编译。然而,有限的研究工作通过使量子电路本身对噪声具有更高的优化级别。我们提出了Quoutumnas,是变分电路和量子位映射的噪声自适应共同搜索的全面框架。变形量子电路是构建QML和量子仿真的有希望的方法。然而,由于大型设计空间和参数训练成本,找到最佳变分电路及其最佳参数是具有挑战性的。我们建议通过引入新的超级速度来解耦电路搜索和参数培训。超电路由多层预定的参数化栅极构成,并通过迭代采样和更新其的参数子集(Subcircuit)训练。它提供了从头开始培训的子通差形性能的准确估计。然后我们执行Subcircuit的演进共同搜索和其量子位映射。使用从超级电路继承的参数和使用真实设备噪声模型进行估计,估计子电路性能。最后,我们执行迭代栅极修剪和FineTuning以去除冗余栅极。在10个量子计算上广泛评估了12个QML和VQE基准,Quoutumnas显着优于基线。对于QML,Quoutumnas是第一个展示超过95%的2级,85%的4级和真实QC的32%的10级分类准确性。与UCCSD相比,它还实现了H2,H2O,LIH,CH4,BEH2上的VQE任务的最低特征值。我们还开源Quantumengine(https://github.com/mit-han-lab/pytorch-quantum),用于快速训练参数化量子电路,以促进未来的研究。
translated by 谷歌翻译
基于内核的量子分类器是用于复杂数据的超线化分类的最有趣,最强大的量子机学习技术,可以在浅深度量子电路(例如交换测试分类器)中轻松实现。出乎意料的是,通过引入差异方案,可以将支持向量机固有而明确地实现,以将SVM理论的二次优化问题映射到量子古典的变分优化问题。该方案使用参数化的量子电路(PQC)实现,以创建一个不均匀的权重向量,以索引量子位,可以在线性时间内评估训练损失和分类得分。我们训练该变量量子近似支持向量机(VQASVM)的经典参数,该参数可以转移到其他VQASVM决策推理电路的许多副本中,以分类新查询数据。我们的VQASVM算法对基于云的量子计算机的玩具示例数据集进行了实验,以进行可行性评估,并进行了数值研究以评估其在标准的IRIS花朵数据集上的性能。虹膜数据分类的准确性达到98.8%。
translated by 谷歌翻译
近期量子系统嘈杂。串扰噪声已被确定为超导噪声中间尺度量子(NISQ)设备的主要噪声来源之一。串扰源于附近Qubits上的两Q量门门的并发执行,例如\ texttt {cx}。与单独运行相比,它可能会大大提高门的错误率。可以通过调度或硬件调整来减轻串扰。然而,先前的研究在汇编的后期很晚,通常是在完成硬件映射之后的。它可能会错过优化算法逻辑,路由和串扰的巨大机会。在本文中,我们通过在早期编译阶段同时考虑所有这些因素来推动信封。我们提出了一个称为CQC的串扰感知量子程序汇编框架,该框架可以增强串扰缓解,同时实现令人满意的电路深度。此外,我们确定了从中间表示向电路转换的机会,例如,以特定的特定串扰缓解措施,例如,\ texttt {cx}梯子构造在变异的量子eigensolvers(VQE)中。通过模拟和Real IBM-Q设备进行评估表明,我们的框架可以显着将错误率降低6 $ \ times $,而与最先进的门调度相比,仅$ \ sim $ 60 \%\%的电路深度方法。特别是对于VQE,我们使用IBMQ Guadalupe证明了49 \%的回路深度减少,而对H4分子的先前ART进行了9.6 \%的保真度改善。我们的CQC框架将在GitHub上发布。
translated by 谷歌翻译